您好,欢迎光临电子应用网![登录] [免费注册] 返回首页 | | 网站地图 | 反馈 | 收藏
在应用中实践
在实践中成长
  • 应用
  • 专题
  • 产品
  • 新闻
  • 展会
  • 活动
  • 招聘
当前位置:中国电子应用网 > 技术应用 > 正文

开放式 UPF/IEEEp1801 标准发展蓝图

2011年06月28日15:21:05 本网站 我要评论(2)字号:T | T | T
关键字:应用 半导体 通信 电源 

在过去 6~8 年里面,引起高度重视的全球能源与气候危机在数个主要市场催生出了所谓的“绿色”技术计划,其中较突出的领域当数信息技术产业。半导体组件功耗提出了严峻的技术挑战,其中包括:功耗达数百兆瓦的兆服务器群、消费类手持设备以及低于 45 纳米半导体工艺节点的物理器件缩放。因此,人们开始认识到:“默认关闭”可能会成为新一代半导体设计实践的灵魂。

 在半导体设计领域,默认关闭的理念是:如果片上系统 (SoC) 组件的某个子模块未主动处理数据,则应当参照 SoC 的电网“关闭”该子模块。对于“高端”电源管理的 SoC (PM-SoC) 而言,默认关闭工程任务就意味着需要创建众多电源域以及一系列专用的、迄今未知且有待探索的节能技术。

 芯片设计人员在节能方面发挥的余地并不像 SoC 架构设计工艺盛行的 IP 内核再利用那么大。90% 的 SoC 可能都是 IP 再利用产品,这或许是再平常不过的事情。在性能、功能以及就本文而言的功率需求等方面各具特色的应用市场中,各种组件都集成了 IP 内核。与 PM-SoC 相关的、日益复杂的电源复杂性已经引起了行业重视,也产生了对诸如统一功率格式 (UPF) 与通用功率格式 (CPF) 等标准化功率意图规范格式的需求。

 在指定 PM-SoC 的功率意图时,半导体设计与验证团队会意识到随 PM-SoC 的 RTL 规范一起处理的功率意图规范附属文件的重要性。电源管理与功率意图对 SoC 设计与制造过程的每个阶段都会产成微妙的影响。制造过程无法消除半导体设计复杂性,而且也没有采用任何当前或过去的 EDA 手段逃避功率意图规范创建的、普遍方法的影响力。组件制造商深知其设计团队的 EDA 方法流程取决于几个主要 EDA 供应商。正是电源管理普遍性产生的方法影响力迫切期待整个行业制定标准化功率意图格式规范。

 EDA 行业在半导体技术发展过程中取得的成功源于 EDA 供应商与组件制造商的创新与合作。芯片公司需要重要接口的互操作性以及 EDA 供应商提供的价值链,以实现其公司需求的定制化 EDA 软件组合。

 相对于目前每种组件已经超过 2500万美元的SoC整体研发成本,目前的 45 纳米、32 纳米以及 22 纳米 EDA 方法流程仍然处于初期阶段。组件公司必须考虑采用缺乏广泛 EDA 行业支持的“专有技术标准”所带来的商业风险。依附于某种依赖一家 EDA 公司提出的非开放性、“受控”规范的单一芯片设计参考流程会给芯片执行与管理人员带来不必要的商业与工程风险。

 半导体行业的主要组件制造商通过与 Accellera 合作,启动了功率意图的开放标准化工作,并产生了统一功率格式 (UPF) 1.0 标准。在 Accellera UPF 1.0 标准得到批准之后,IEEE 批准成立了标准化委员会以制定 P1801 (UPF 2.0) 功率意图标准,作为 Accellera UPF 1.0 标准的演进。

 所有功率意图格式标准化的重要方面均体现在半导体行业众多公司的集体参与。就此而言,UPF 标准得到了 EDA 四巨头中三家的支持:Synopsys、Mentor Graphics 以及 Magma Design Automation。与 EDA 厂商认可 EDA 标准同样重要的是,工程设计界对标准的接纳可以决定相关标准的有效性、质量以及使用期限。截至本文件付梓时,就 UPF EDA 支持者所知,已经有大约 70% 的主要半导体公司计划在其组件设计流程中采纳 UPF。从半导体代工厂角度来看,TSMC、Common Platform(IBM、三星与 chartered)以及 UMC 目前均已经开始实施业经验证的 UPF 1.0 代工厂流程。

 UPF 1.0 标准为在隔离、电平变换与保持策略方面采用面向电源域中心语法(power-domain-centric syntax) 的功率意图规范打下了坚实的技术基础。UPF 针对电源域范围及限度的抽象与定义所提供的电源域高灵活性可为复杂逻辑层次中的设计元素划分提供简明语义。

 图 1、图 2 与图 3 分别针对相关 UPF 代码段说明电源域范围与限度方面的电源域元素包容进展。其中说明了连续与非连续电源域概念。电源域复杂性逐步增加的后续图形采用了 UPF 命令文件 upf_a、upf_b 以及 upf_c。相关示例讲解了设计人员在为原有 SoC 子系统设计功率监控架构 (power-aware architecture) 时遵循的流程。示例由以下部分组成:嵌入式处理器内核 (UP)、DMA 引擎 (DMA)、具有发送 (XMT) 与接收 (RCV) 实例的 MAC (MAC) 以及接收缓冲器存储器 (BFR)。

 功率监控设计约束条件可以粗略定义,以便通过在 MAC 通信子系统中应用电源关断 (PSO) 降低 SoC 功耗。图 1 中,upf_a 用于设定设计元素 UP 的相关范围,以便创建“顶部”电源域。第二个 set_scope 命令将范围在逻辑层次中降低一个等级——降至设计元素 MAC,从而使用第二个 create_power_domain 语句创建 PD_1。PD_1 的create_power_domain 语句只需一个 –include_scope 选项即可捕获第 4 行注释所识别的所有元素。

 

设计元素 UP 与 DMA 仍然保留在顶部电源域。create_supply_net 与 create_supply_port 用于在逻辑层次 UP 创建显式供电电网 (supply net) 与端口,同时可在 PD_1 区域为相关元素(MAC、RCV、XMT 与 BFR) 创建逻辑层次内的隐式供电电网与端口。此时,再次进行电源分析可能就会发现功耗降低了 20%,但这还没有达到较佳效果。

在接下来的优化中,设计人员认识到发送模块 XMT 在处于与 MAC 接收机不同情况下时应当是“打开”的。此外,DMA 的数据传输与 MAC 的 XMT 功能息息相关,因此 DMA 与 XMT 实例可以采用相同的电源管理。为此,图 2 中 upf_b 逐步在 upf_a 中添加一个 set_scope 命令与一个 create_power_domain 命令,可以创建电源域 PD_2。upf_b 利用第三个 set_scope 命令将范围提高一个等级,从而可以捕获用于包含到 PD_2 中的元素 UP/DMA,同时利用 –elements 参数从 PD_1 提取元素 UP/MAC/XMT。

设计元素 UP 仍然保留在顶部电源域。应当采用 create_supply_net 与 create_supply_port 命令在逻辑层次级别的 UP 创建显式供电电网与端口。然后在逻辑层次内为 PD_2 创建隐式供电端口连接,以实现元素 DMA 与 XMT 之间的供电电网连接。由于 PD_2 的非连续性属性使隐式供电端口连接需要经过 PD_1 的逻辑层次。通过电源分析,设计人员发现较初的功耗又降低了 10%。

 在较后优化中,设计人员发现在 UP 断电时可以关闭存储器缓冲器。因此,图 3 在 upf_b (upf_c) 基础上可利用较后一个 create_power_domain 语句创建一个双元素、非连续电源域 PD_3。采用 upf_c 可以通过 –elements 参数从 PD_1 提取元素 UP/MAC/BFR,与此同时,也可结合 -include_scope 参数的使用在逻辑层次中封装顶部元素 A。

 

 顶部电源域不再包含任何设计元素。在明确创建供电电网与端口时,与 PD_1和PD_2 一样,此时在 PD_1 的逻辑层中为 PD_3 引入另外一组隐式供电端口连接。较终电源优化分析表明较初的功耗可进一步降低 10%。

 在较终分析中,设计人员通过逐步采用 UPF 约束、在不对传统设计 IP 进行任何 RTL 修改情况下使较初 SoC 子系统的功耗降低了 40%。从上例可以看出,采用 UPF 功率意图附加文件并结合 UP SoC IP RTL,可以轻松将 UP IP 移植到可能具有不同电源要求的其他 SoC 目标市场。

 IP 再利用与 SoC 集成对当前及未来具有重要意义,这将使 UPF 1.0 开发人员对分离功率意图规范与实施产生浓厚兴趣。由于允许 IP 内核执行独立的 UPF 功率意图实施,设计架构师能够在芯片架构开发前期制定功率意图。

 在 UPF 1.0 提供完备功率意图语义的同时,IEEE P1801 (UPF 2.0) 标准可通过 load_simstate_behavior 与 set_simstate_behavior 命令扩展仿真建模的功率意图命令集。采用 UPF 2.0 供电电平的延伸定义(正如 PARTIAL_ON、UNDETERMINED、FULL_ON 或 OFF 语义定义的那样),可以对 low-Vdd-standby的仿真行为进行有效建模。引入了新的电源状态定义,用于定义电源组的仿真状态,如:CORRUPT_ON_ACTIVITY、CORRUPT_STATE_ON_ACTIVITY 与 CORRUPT_STATE_ON_CHANGE,从而可提高 RTL 功率监控仿真行为的精确度。

 UPF 的命令分层或优化语义使 IP 供应商能够指定执行哪些部分的功率意图抽象,且无需担心具体实施细节。UPF 命令分层可以针对通用 IP 内核的垂直市场应用制定可重复利用、独立于技术的 UPF 规范。IP 用户/实施方可以提供附加优化规范,如:use_interface_cell,可以针对特定电源管理架构或技术库描述功率意图规范的实施方式。

 UPF 2.0 通过增加电源组概念扩展了 UPF 1.0 的抽象与优化功能。利用预定义或占位符电源组句柄增强了功率意图优化功能。采用电源组可以将供电电网整合到预定义的主电轨、电源电轨、default_retention 以及 default_isolation 电轨。关联命令 associate_supply_set 为电源组参考提供句法间接模式 (syntactic indirection),可以为预定义电源组函数(如:power、ground、pwell 或 nwell 指示)创建附加电轨参考。另外还可定义定制供电电网函数。UPF 2.0 中的电源组抽象概念可定义用于复杂功率意图层级的隐式与自动连接语义

网友评论:已有2条评论 点击查看
登录 (请登录发言,并遵守相关规定)
如果您对新闻频道有任何意见或建议,请到交流平台反馈。【反馈意见】
关于我们 | 联系我们 | 本站动态 | 广告服务 | 欢迎投稿 | 友情链接 | 法律声明
Copyright (c) 2008-2024 01ea.com.All rights reserved.
电子应用网 京ICP备12009123号-2 京公网安备110105003345号